楼主: arthistory4
1126 0

[财经时事] 新验证和互连技术引入SoC设计 [推广有奖]

警督

大师

57%

还不是VIP/贵宾

-

TA的文库  其他...

优秀行业分析报告

咨询报告大全

威望
16
论坛币
2886871 个
通用积分
45520.3044
学术水平
2218 点
热心指数
2939 点
信用等级
2099 点
经验
86447 点
帖子
4775
精华
11
在线时间
5595 小时
注册时间
2006-4-23
最后登录
2024-3-17

三级伯乐勋章 中级学术勋章 高级热心勋章 中级信用勋章 高级学术勋章 高级信用勋章 特级信用勋章 特级热心勋章 特级学术勋章 初级学术勋章 初级热心勋章 中级热心勋章 初级信用勋章

+2 论坛币
k人 参与回答

经管之家送您一份

应届毕业生专属福利!

求职就业群
赵安豆老师微信:zhaoandou666

经管之家联合CDA

送您一个全额奖学金名额~ !

感谢您参与论坛问题回答

经管之家送您两个论坛币!

+2 论坛币

新验证和互连技术引入SoC设计

2010-10-21

  如今片上系统(SoC)技术已成为当今超大规模IC的发展趋势,在移动通信终端以及消费电子产品中得到了广泛的应用。SoC的设计技术包括IP复用、低功耗设计、可测性设计、深亚微米的物理综合、软硬件协同设计等,包含三大基本要素:一是多种类IP的重用与集成,二是多核、低功耗的设计,三是针对多种应用的可重配置软件。SoC设计面临的技术挑战不仅与此相关,同时也需要设计公司、EDA工具供应商、晶圆制造厂等的通力协作。
  新验证和互连技术应对挑战
  “多类IP的重用与集成”是缩短SoC产品上市时间的一个重要手段。一个典型的SoC可能包含应用处理器、数字信号处理器、存储器、控制器、外设接口等多种模块。有了这些IP,设计者就可以将所有的IP模块组合成为一个高效的SoC系统。所以,SoC的设计可以大致归纳为寻找合适的IP、设计关键模块、组合IP模块,设计难题也源于此。
  “随着芯片设计采用更先进的工艺技术,芯片规模越来越大,对IP的需求越来越多,IP的重要程度也越来越高。目前有不同的IP来源和不同的代工厂,如何集成和验证IP特别是验证IP的质量,成为大规模SoC设计中一个越来越重要的问题。”Cadence中国区总经理刘国军对记者表示。而中星微电子CTO杨晓东也指出,SoC芯片复杂,很多功能精确定义较难,不少功能是以软硬件配合实现的,验证工作是一个巨大挑战。刘国军表示:“产业界需要重点解决两大问题:一是指定晶圆代工厂如何验证IP,了解它的可靠性;二是如何知道IP的质量。”
  晶圆代工厂是解决这一问题不可或缺的角色。中芯国际集成电路制造有限公司总裁兼首席执行官王宁国指出,IP库的建设必须以终端市场及应用为第一指标,除了低功耗和高性能之间的权衡之外,各种不同的应用领域如手机或个人电脑也需要不同应用的IP。中芯国际在IP库建设方面的整体投资方向是以中国市场为导向的,IP除了自主研发外,还吸收市场上成熟的IP。中芯国际会对IP进行严格的工艺验证,以确保其质量与一致性。
  同时,SoC中各种IP之间的通信变得极为复杂,解决SoC与外部DRAM子系统之间的互连堵塞问题成为中国IC设计企业面临的瓶颈。SONICS公司首席执行官Grant Pierce对记者表示,由于中国IC设计公司设计的消费电子SoC集成了CPU、DSP、音视频等IP,这些IP共享外部同一个DRAM子系统,这会出现互连堵塞。而且,随着视频应用需求的快速增加,这种堵塞变得越来越严重。Grant Pierce还指出,大规模SoC设计面临的一大挑战还在于最大可能地降低系统成本,在SoC的成本构成中绝大部分来自DRAM,因此要降低成本,就要提高DRAM的使用效率,减少其使用数量。SONICS针对此研发了MemMax AMP软IP产品,可大幅提升存储器带宽的利用率,帮助中国IC设计公司克服存储器子系统的瓶颈问题。
  低功耗设计要从RTL开始
  SoC设计理念还有一大要素是多核、低功耗,它是SoC的优势所在。“SoC设计需要考虑数字、模拟、射频电路的混合,考虑封装、功耗、散热等挑战。”中星微电子CTO杨晓东提到。SoC的典型工作频率一般都在几十兆到几百兆赫兹,本身的功耗就比通用处理器小很多。此外,它还可以通过芯片架构、软件的优化以及合适工艺的选取等种种控制策略,降低SoC的功耗。
  在低功耗设计上,刘国军强调,真正的低功耗设计从RTL就应该开始,这一点非常关键。他进一步解释说,从前端就开始优化的效果与到后端才开始优化是非常不同的。如果等到芯片实现的时候再考虑功耗优化问题,这时所能降低功耗的程度就很有限了。而从前端设计就开始考虑功耗优化,那么到了后端,这种效果就会成倍地显现出来。在这一理念之下,Cadence建立了完整的低功耗设计流程,在每个环节都提供低功耗的设计方法和工具。而Cadence的低功耗验证流程在逻辑和实现等环节都会考虑功耗问题,目前这一设计流程在移动设备芯片的设计上获得了成功。
  可重配置软件需灵活高效
  在深亚微米Soc设计中,门数高,时钟和电源域多,又需要保障可靠性、良率等,后端设计挑战很大。而针对多种应用的可重配置软件是面向应用SoC成功的关键。SoC内部都集成了一个甚至多个处理器以及大量的存储器。在SoC内部可以运行复杂程序,这些程序是在IC生产之后再载入的。所以SoC的功能是由软件和硬件(芯片)联合完成的,而不是单纯由硬件来完成的。针对不同的产品应用,可以通过修改SoC中运行的软件程序,使SoC适应不同的应用。而且一旦硬件有什么错误,也可以通过软件的方式来修正。因此有专家表示,一个SoC芯片要在市场中生存下去,靠的不仅仅是芯片本身的时钟工作频率有多高或是它含有哪些功能模块,更重要的是要看它有没有一套可重配置软件,可在不同的应用下对芯片做出灵活并且高效的重配置。
  同时,SoC设计使数模混合设计变得越来越重要。刘国军表示,数模混合设计的趋势之一就是把大规模数字电路设计与模拟电路设计放在同一个数据库中进行,而且这个数据库要涵盖前端和后端。而Cadence也已经把全定制数模混合设计与大规模数字电路设计工具Ecounter合在一起,成为一个统一的数据库,使模拟电路与大规模数字电路可以实现交互设计。
  此外,SoC设计对团队的要求也在提高。杨晓东指出,SoC不只是一个芯片,还是一个完整的系统。设计这样的芯片需要多方面的知识,比如图像音视频算法、嵌入式软件和硬件等,而且软件工程师需要理解硬件的细节,硬件工程师需要理解算法和软件等,这需要设计企业组建一个掌握多方面知识的团队。




作者:李映 赵艳秋 来源:《中国电子报》2010年10月19日

二维码

扫码加我 拉你入群

请注明:姓名-公司-职位

以便审核进群资格,未注明则拒绝

关键词:cadence counter Pierce 消费电子产品 dence 技术 设计 验证 互连 soc

您需要登录后才可以回帖 登录 | 我要注册

本版微信群
加JingGuanBbs
拉您进交流群

京ICP备16021002-2号 京B2-20170662号 京公网安备 11010802022788号 论坛法律顾问:王进律师 知识产权保护声明   免责及隐私声明

GMT+8, 2024-4-28 22:25